含同步复位控制的D触发器moduleDFF(inputCLK,inputD,inputRST,outputregQ);always@(posedgeCLK)Q<=____?D;endmodule空格处应该填入:

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页